T客邦 September 27, 2022
在看完了前半部的Ryzen 7000系列處理器效能實測後,接著讓我們一起來深入瞭解Zen 4架構的改進之處。2e884299626e2bad0d62b7a109b4471e

在看完了前半部的Ryzen 7000系列處理器效能實測後,接著讓我們一起來深入瞭解Zen 4架構的改進之處。

效能較前代產品提升29%

AMD Ryzen 7000系列處理器採用Zen 4架構,搭配TSMC(台積電)5nm節點製程,前者透過改善架構的方式帶來約13%的處理器IPC(Instructions per Cycle,每周期指令)效能提升,而後者則有助於提高處理器運作時脈,讓最高Turbo時脈飆到5.7GHz,2者合併可以帶來29%的效能提升,世代升級的效益相當明顯。

AMD技術行銷總監Robert Hallock在Ryzen 7000系列桌上型處理器產品說明會中特別強調,受益於製程精進的幫助,Ryzen 7000系列處理器的基礎時脈基本上已經接近Ryzen 5000系列處理器的最高Turbo時脈。以旗艦產品為例,Ryzen 9 7950X的基礎、Turbo時脈分別為4.5、5.7GHz,而Ryzen 9 5950X則為3.4、4.9 GHz,可以看到先進製程在功耗、發熱、時脈等綜合因素所創造的優勢。

至於處理器的架構方面,可以從功能方塊圖看出Zen 4架構與Zen 3的相似程度很高,但細部還是有許多改良之處。

從前端部分開始細看,Zen 4架構強化分枝預測的機制,並加大68%Op Cache快取記憶體容量,有助於提升預測的準確度。而在執行單元部分,指令佇列(Instructions Retired Queue,確認預測指令為正確的流程)的寬度提升了25%,也加大整數/浮點數暫存器堆(Register File),再搭配存取單元中讀取佇列(Load Queue)加大22%,L2資料、指令快取記憶體由512KB增加1倍至1MB,對於強化整體運算效能、降低延遲都有正面幫助。

Ryzen 7000系列處理器具有許多創新之處,包括Zen 4架構、5nm節點製程、AM5平台、PCIe Gen5匯流排、DDR5記憶體。 ▲ Ryzen 7000系列處理器具有許多創新之處,包括Zen 4架構、5nm節點製程、AM5平台、PCIe Gen5匯流排、DDR5記憶體。

與前代產品相比,Ryzen 7000系列處理器的IPC提升達13%,加上時脈提高的助益,整體效能提升達29%。 ▲ 與前代產品相比,Ryzen 7000系列處理器的IPC提升達13%,加上時脈提高的助益,整體效能提升達29%。

Ryzen 7000系列處理器的基礎時脈快要追上Ryzen 5000系列處理器的最高Turbo時脈。 ▲ Ryzen 7000系列處理器的基礎時脈快要追上Ryzen 5000系列處理器的最高Turbo時脈。

13%IPC增益來自前端、取單元、分枝預測、執行引擎、L2快取記憶體的改善。 ▲ 13%IPC增益來自前端、存取單元、分枝預測、執行引擎、L2快取記憶體的改善。

Zen 4架構整體與Zen 3頗為接近,但改善許多計以強化效能表現。 ▲ Zen 4架構整體與Zen 3頗為接近,但改善許多設計以強化效能表現。

Zen 4架構功能方塊圖放大版。 ▲ Zen 4架構功能方塊圖放大版。

Zen 4架構(右)與Zen 3架構(左)大體規劃如出一轍。 ▲ Zen 4架構(右)與Zen 3架構(左)大體規劃如出一轍。

Zen 3、4架構的改進項目一覽表。 ▲ Zen 3、4架構的改進項目一覽表。

在官方提供的效能對照,Ryzen 9 7950X在多款遊戲、創作軟體的效能較Ryzen 9 5950X高出6~48%不。 ▲ 在官方提供的效能對照中,Ryzen 9 7950X在多款遊戲、創作軟體的效能較Ryzen 9 5950X高出6~48%不等。

而13% IPC增益則是將8核16緒的處理器定頻在4.0GHz,並測試多款軟體取平均所得。 ▲ 而13% IPC增益則是將8核16緒的處理器定頻在4.0GHz,並測試多款軟體取平均所得。

導入AVX-512並強化IOD

Zen 4架構的另一大改變是導入AVX-512指令集(Advanced Vector Extensions 512,進階向量延伸指令集512),並支援BF16(Brain Float 16)、VNNI(Vector Neural Network Instructions,向量神經網絡指令集)等指令,能夠加速科學模擬、金融分析、AI(人工智慧)、深度學習(ML)、3D模型製作與分析、影像與音訊處理、加密與資料壓縮等工作負載。

Robert Hallock在說明會中也特別強調,Zen 4架構的AVX-512是透過256bit資料寬度進行實作,雖然會犧牲少許峰值效能,但帶來的好處是不會影響處理器的時脈表現,也不會造成發熱問題(筆者註:針對性很強啊),根據AMD官方提供的數據,AVX-512能提升FP32資料類型AI推論效能30%,或提升INT8資料類型AI推論效能150%,效果相當顯著。

回顧Zen 3架構「型號帶X」的Ryzen 5000X系列處理器並不具有內建顯示功能,這次Zen 4架構中負責輸出、輸入功能的IOD(I/O Die)不但由原本的12nm製程節點提升至6nm製程節點,並全面搭載內建顯示功能。

首波發表的4顆Ryzen 7000X系列處理器都搭載具有2組運算單元(CUs)的RDNA 2內建顯示晶片,基礎時脈為400MHz,最高時脈可達2.2GHz,並且支援AV1、VP9硬體解碼,以及H.264、H.265(HEVC)硬體解碼與編碼功能。

在顯示的輸出部分,最高支援4K解析度與60FPS更新頻率,並支援HDMI 2.1 FRL(Fixed-Rate Link)、DisplayPort 2.0 UHBR10、USB Type-C Alternate Mode等輸出介面,功能相當完整。

根據AMD官方的說明,在歸納使用者回覆的意見後,決定在Ryzen 7000X系列處理器加入最基本內建顯示功能,滿足商務應用的需求,因此可以解讀為僅有「點亮螢幕」的功能,而不具有流暢執行遊戲的效能。考量到它具有豐富的硬體解碼與編碼以及輸出介面等功能,能夠確實滿足基本使用與播放影片的需求,並省下量機卡的預算,提供使用者相當大的組裝彈性與便利。

AMD終於Zen 4導入AVX-512指令集,但採取256bit資料寬度進行實作,在犧牲少許峰值效能的前提下,避免影響處理器的時脈與降低發熱。 ▲ AMD終於Zen 4中導入AVX-512指令集,但採取256bit資料寬度進行實作,在犧牲少許峰值效能的前提下,避免影響處理器的時脈與降低發熱。

AVX-512能提升FP32資料類型AI推論效能30%,或提提升INT8資料類型AI推論效能150%。 ▲ AVX-512能提升FP32資料類型AI推論效能30%,或提提升INT8資料類型AI推論效能150%。

Zen 4架構的IOD(I/O Die)全面載內建顯示,並支援HDMI 2.1 FRL(Fixed-Rate Link)、DisplayPort 2.0 UHBR10、USB Type-C Alternate Mode輸出介面。 ▲ Zen 4架構的IOD(I/O Die)全面搭載內建顯示,並支援HDMI 2.1 FRL(Fixed-Rate Link)、DisplayPort 2.0 UHBR10、USB Type-C Alternate Mode等輸出介面。

其內建顯示僅具有最低限度的功能,具有2組RDNA 2架構的運算單元(CUs),並支援AV1、VP9硬體解碼,以及H.264、H.265(HEVC)硬體解碼與編碼。 ▲ 其內建顯示僅具有最低限度的功能,具有2組RDNA 2架構的運算單元(CUs),並支援AV1、VP9硬體解碼,以及H.264、H.265(HEVC)硬體解碼與編碼。

AV1、VP9硬體解碼都能支援8K解析度,實用性相當完整。 ▲ AV1、VP9硬體解碼都能支援8K解析度,實用性相當完整。

者儘透過《古墓奇兵:暗影》進行初Ryzen 7000X系列處理器的遊戲效能測試,由於其運算單元數量太少,就算已升級為RDNA 2顯示架構,效能仍落後分別具有7、8組運算單元的Ryzen 5 5600G、Ryzen 7 5700G處理器,且就算降低到最低畫質,效能也不足30FPS,無法滿足最低限度的遊戲需求。 ▲ 筆者儘透過《古墓奇兵:暗影》進行初步Ryzen 7000X系列處理器的遊戲效能測試,由於其運算單元數量太少,就算已升級為RDNA 2顯示架構,效能仍落後分別具有7、8組運算單元的Ryzen 5 5600G、Ryzen 7 5700G等處理器,且就算降低到最低畫質,效能也不足30FPS,無法滿足最低限度的遊戲需求。

AM5平台大翻新

與Zen 4架構一併到來的,是AMD的全新的AM5平台,包含LGA1718處理器腳位,以及支援PCIe Gen 5、DDR5等規格。

處理器腳位由AM4的PGA 1331更換至LGA1718最大的優點,就是不用再擔心因為黏性特高而被戲稱為「祖傳水泥膏」的AMD原廠散熱膏,造成在拆卸散熱器時不慎將整個處理器黏下,而造成處理器背面腳針彎曲的損壞。

另一方面,AM5平台能夠提供最高達230W的CPU插槽功率,大幅緩解處理器的功耗牆(Power Wall)瓶頸。而根據AMD表示,多數處理器在遇到功耗牆之前會先遇到TJMax(最高安全執行溫度,指在沒有損壞或劣化的風險下全天候運作)為攝氏95度的溫度牆(Thermal Wall),因此在散熱器解熱能力充足的前提下,系統會盡可能提升負載以改善效能表現。

PCIe Gen 5則能較AM4平台採用的PCIe Gen 4具有高出1倍的頻寬表現,有助於提高顯示卡與固態硬碟的資料傳輸效能。以固態硬碟使用的x4寬度匯流排為例,先前的PCIe Gen 4x4頻寬僅有8GB/s,而PCIe Gen 5x4頻寬則高達16GB/s,能夠提供更大的資料吞吐量。

在記憶體部分,Zen 4架構處理器只支援DDR5記憶體,其傳輸速度規格由DDR5-4800起跳,目前也已有DDR5-6400的產品問世,相較於DDR4常見的DDR4-3200、DDR4-4000能提供更高的傳輸速度與頻寬,但價格較高卻是它無法避免的硬傷(不像Intel的第12、13代Alder Lake、Raptor Lake能同時支援DDR4、DDR5記憶體)。

為了提升記憶體效能與平抑成本,AMD也推出免權利金的EXPO記憶體自動超頻規範,它的概念與XMP類似,廠商可以自行驗證記憶體超頻的最佳化參數,將參數提交給AMD並寫入模組,對使用者而言,只需到BIOS/UEFI設定中開啟EXPO設定,就可以達到「一鍵超頻」的效果。

對於想要手動超頻的玩家而言,AMD也特別強調在AM4平台中,玩家追求的目標為盡可能拉高FCLK時脈,並以1:1:1(FCLK:MCLK:UCLK,Infinity Fabric:記憶體控制器:DRAM)模式執行記憶體。但到了AM5平台推升FCLK時脈不會產生實質效益,因此建議將設定轉變為AUTO:1:1(此設定會讓FCLK盡量維持在2000MHz),並盡可能拉高記憶體傳輸速度,而達到提升頻寬與降低時序、延遲的效果。

AM5提供許多新功能,最受矚目的就是支援PCIe Gen 5匯流排與DDR5記憶體。 ▲ AM5提供許多新功能,最受矚目的就是支援PCIe Gen 5匯流排與DDR5記憶體。

AMD首波將推出X670E、X670晶片組,並於10月推出B650E、B650晶片組。 ▲ AMD首波將推出X670E、X670等晶片組,並於10月推出B650E、B650等晶片組。

EXPO自動超頻功能使用上相當簡單,有助於提升記憶體效能表現。 ▲ EXPO自動超頻功能使用上相當簡單,有助於提升記憶體效能表現。

在Zen 4架構提高FCLK時脈不會產生實質效益,因建將FCLK定為AUTO,並盡可能拉高記憶體傳輸速度。 ▲ 在Zen 4架構中提高FCLK時脈不會產生實質效益,因此建議將FCLK設定為AUTO,並盡可能拉高記憶體傳輸速度。

與Zen 3架構的Ryzen 5000系列處理器相比,Zen 4架構可以在相同效能下節省62%電力,或在相同功耗下提升49%效能。 ▲ 與Zen 3架構的Ryzen 5000系列處理器相比,Zen 4架構可以在相同效能下節省62%電力,或在相同功耗下提升49%效能。

這波Zen 4架構的Ryzen 7000系列處理器相關專題報到會先在這邊告一段落,我們也預計會在日後補充Ryzen 5 7600X處理器與B650系列晶片組的測試專題,敬請各位讀者保持關注。

系列文章:
Computex 2022:AMD發表Zen 4架構Ryzen 7000處理器與AM5平台,還有海盜船電競筆電!
AMD正式發表Zen 4架構Ryzen 7000系列處理器,美金299元起跳9月27開賣
Zen 4超車對手旗艦!AMD Ryzen 9 7900X、Ryzen 7 7700X處理器效能實測
Phison E26 PCIe Gen 5固態硬碟控制晶片實測搶先曝光,存取效能再創新高
Zen 4架構解析,站在前人基礎上繼續強化運算效能(本文)

加入T客邦Facebook粉絲團 固定链接 'Zen 4架構解析,站在前人基礎上繼續強化運算效能' 提交: September 27, 2022, 5:00pm CST